Authors

Ashley Haghighi

Document Type

Report

Abstract

We need more from our computers; faster speeds, more power, artificial intelligence, and 5G capability, but we want it cheaply. The use of chiplets allows for more customizations based on needs, more efficient power consumption, and integration into different Internet of Things (IoT) applications while simultaneously reducing electronic waste during the manufacturing process. The integration of chiplets into the chip manufacturing industry could meet the demand for high-performance computing while keeping the computer system’s data safe with encryption and authentication capabilities [11]. By running thermal response simulations of chiplets on heterogeneous substrates and in different integrated circuit formations, it can be determined which combination of variables would be best suited to meet the needs of the computer system and mitigate the risk of overheating. For purposes of this project, the substrate being analyzed is limited to organic substrates with different architectures of chiplets and silicon interposers.

Publication Date

12-1-2023

Share

COinS
 
 

To view the content in your browser, please download Adobe Reader or, alternately,
you may Download the file to your hard drive.

NOTE: The latest versions of Adobe Reader do not support viewing PDF files within Firefox on Mac OS and if you are using a modern (Intel) Mac, there is no official plugin for viewing PDF files within the browser window.